前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的數(shù)字電路設(shè)計(jì)方案主題范文,僅供參考,歡迎閱讀并收藏。
1.1實(shí)驗(yàn)系統(tǒng)的內(nèi)容
該電子設(shè)計(jì)自動(dòng)化實(shí)驗(yàn)系統(tǒng),不僅要能夠?qū)崿F(xiàn)基本數(shù)字電路功能,而且還要能夠?qū)崿F(xiàn)部分中規(guī)模和大規(guī)模的數(shù)字電路功能。具體設(shè)計(jì)內(nèi)容包括以下這些:(1)數(shù)字時(shí)鐘的設(shè)計(jì)。(2)LED16×16點(diǎn)陣控制器的設(shè)計(jì)。(3)數(shù)字頻率計(jì)的設(shè)計(jì)。(4)實(shí)現(xiàn)搶答器數(shù)字系統(tǒng)。(5)實(shí)現(xiàn)電子密碼鎖數(shù)字系統(tǒng)。(6)實(shí)現(xiàn)三色交通指揮燈控制器的數(shù)字系統(tǒng)。(7)實(shí)現(xiàn)簡易電子琴的數(shù)字系統(tǒng)。(8)實(shí)現(xiàn)三層電梯控制器的數(shù)字系統(tǒng)。
1.2實(shí)驗(yàn)系統(tǒng)的設(shè)計(jì)方案
該電子設(shè)計(jì)自動(dòng)化實(shí)驗(yàn)開發(fā)系統(tǒng)是基于FPGA/CPLD器件,主要包括四部分,即信號(hào)發(fā)生模塊、輸出信息的顯示模塊、擴(kuò)展模塊、FPGA/CPLD芯片通用適配座及芯片編程下載電路。該實(shí)驗(yàn)系統(tǒng)設(shè)計(jì),采用了通用適配板與系統(tǒng)功能板分離的結(jié)構(gòu)方式。通用適配板具有完整的下載電路,既可插在系統(tǒng)功能底板上使用,也可單獨(dú)工作。
1.3實(shí)驗(yàn)系統(tǒng)的電路設(shè)計(jì)
根據(jù)電路功能,將其劃分為兩部分,即通用適配板電路和系統(tǒng)功能板電路。這兩部分通過芯片適配接口進(jìn)行連接。1.3.1系統(tǒng)功能板電路設(shè)計(jì)系統(tǒng)功能板電路設(shè)計(jì)如圖1,下面是各個(gè)模塊的介紹:(1)7段數(shù)碼管模塊,用于實(shí)現(xiàn)各種數(shù)字顯示,例如計(jì)數(shù)器計(jì)數(shù)結(jié)果的顯示、頻率計(jì)的頻率顯示、數(shù)字鐘表的時(shí)問顯示及搶答器的倒計(jì)時(shí)顯示等。(2)紅綠燈電路模塊,用于紅綠燈的電路實(shí)驗(yàn)。(3)LED指示電路模塊,用來顯示組合邏輯電路實(shí)驗(yàn)的輸出電平的高低。(4)點(diǎn)陣模塊,用來顯示點(diǎn)陣輸出。(5)時(shí)鐘電路,提供時(shí)序邏輯電路的CLK。(6)狀態(tài)機(jī)電路,由FPGA相應(yīng)的I/O口和狀態(tài)指示電路組成,用于序列信號(hào)發(fā)生器、告警器等的設(shè)計(jì)。(7)蜂鳴器模塊。一部分是音樂片電路,當(dāng)有人搶答時(shí)該電路可以放一小段音樂來告知有人搶答;第二部分是電子琴電路,由FPGA送出一定的樂譜頻率,在揚(yáng)聲器上發(fā)出相應(yīng)的譜音。音樂片和電子琴電路通過一個(gè)DIP2的撥動(dòng)開關(guān)來選擇。(8)鍵盤電路模塊,該模塊用于選擇電子琴的數(shù)字系統(tǒng)實(shí)驗(yàn)、搶答器數(shù)字系統(tǒng)實(shí)驗(yàn)、電子密碼鎖數(shù)字系統(tǒng)實(shí)驗(yàn)等實(shí)驗(yàn)項(xiàng)目。(9)DIP開關(guān)電路,提供輸入端的高、低電平。(10)液晶顯示電路。2.3.2通用適配板的電路設(shè)計(jì)通用適配板由CPLD芯片、下載電路、擴(kuò)展接口電路等部分組成。通用適配板結(jié)構(gòu)框圖見圖2。
2結(jié)束語
關(guān)鍵詞:可進(jìn)化硬件遺傳算法電子電路設(shè)計(jì)現(xiàn)場可編程門陣列
在人類的科學(xué)研究中,有不少研究成果得益于大自然的啟發(fā),例如仿生學(xué)技術(shù)。隨著計(jì)算機(jī)技術(shù)和電子技術(shù)的發(fā)展,許多的科學(xué)研究越來越與生物學(xué)緊密相聯(lián)。在人工智能方面,已經(jīng)實(shí)現(xiàn)了能用計(jì)算機(jī)和電子設(shè)備模仿人類生物體的看、聽、和思維等能力;另一方面,受進(jìn)化論的啟發(fā),科學(xué)家們提出了基于生物學(xué)的電子電路設(shè)計(jì)技術(shù),將進(jìn)化理論的方法應(yīng)用于電子電路的設(shè)計(jì)中,使得新的電子電路能像生物一樣具有對環(huán)境變化的適應(yīng)、免疫、自我進(jìn)化及自我復(fù)制等特性,用來實(shí)現(xiàn)高適應(yīng)、高可靠的電子系統(tǒng)。這類電子電路常稱為可進(jìn)化硬件(EHW,EvolvableHardWare)。本文主要介紹可進(jìn)化硬件EHW的機(jī)理及其相關(guān)技術(shù)并根據(jù)這種機(jī)理對高可靠性電子電路的設(shè)計(jì)進(jìn)行討論。
1EHW的機(jī)理及相關(guān)技術(shù)
計(jì)算機(jī)系統(tǒng)所要求解決的問題日趨復(fù)雜,與此同時(shí),計(jì)算機(jī)系統(tǒng)本身的結(jié)構(gòu)也越來越復(fù)雜。而復(fù)雜性的提高就意味著可靠性的降低,實(shí)踐經(jīng)驗(yàn)表明,要想使如此復(fù)雜的實(shí)時(shí)系統(tǒng)實(shí)現(xiàn)零出錯(cuò)率幾乎是不可能的,因此人們寄希望于系統(tǒng)的容錯(cuò)性能:即系統(tǒng)在出現(xiàn)錯(cuò)誤的情況下的適應(yīng)能力。對于如何同時(shí)實(shí)現(xiàn)系統(tǒng)的復(fù)雜性和可靠性,大自然給了我們近乎完美的藍(lán)本。人體是迄今為止我們所知道的最復(fù)雜的生物系統(tǒng),通過千萬年基因進(jìn)化,使得人體可以在某些細(xì)胞發(fā)生病變的情況下,不斷地進(jìn)行自我診斷,并最終自愈。因此借用這一機(jī)理,科學(xué)家們研究出可進(jìn)化硬件(EHW,EvolvableHardWare),理想的可進(jìn)化硬件不但同樣具有自我診斷能力,能夠通過自我重構(gòu)消除錯(cuò)誤,而且可以在設(shè)計(jì)要求或系統(tǒng)工作環(huán)境發(fā)生變化的情況下,通過自我重構(gòu)來使電路適應(yīng)這種變化而繼續(xù)正常工作。嚴(yán)格地說,EHW具有兩個(gè)方面的目的,一方面是把進(jìn)化算法應(yīng)用于電子電路的設(shè)計(jì)中;另一方面是硬件具有通過動(dòng)態(tài)地、自主地重構(gòu)自己實(shí)現(xiàn)在線適應(yīng)變化的能力。前者強(qiáng)調(diào)的是進(jìn)化算法在電子設(shè)計(jì)中可替代傳統(tǒng)基于規(guī)范的設(shè)計(jì)方法;后者強(qiáng)調(diào)的是硬件的可適應(yīng)機(jī)理。當(dāng)然二者的區(qū)別也是很模糊的。本文主要討論的是EHW在第一個(gè)方面的問題。
對EHW的研究主要采用了進(jìn)化理論中的進(jìn)化計(jì)算(EvolutionaryComputing)算法,特別是遺傳算法(GA)為設(shè)計(jì)算法,在數(shù)字電路中以現(xiàn)場可編程門陣列(FPGA)為媒介,在模擬電路設(shè)計(jì)中以現(xiàn)場可編程模擬陣列(FPAA)為媒介來進(jìn)行的。此外還有建立在晶體管級的現(xiàn)場可編程晶體管陣列(FPTA),它為同時(shí)設(shè)計(jì)數(shù)字電路和和模擬電路提供了一個(gè)可靠的平臺(tái)。下面主要介紹一下遺傳算法和現(xiàn)場可編程門陣列的相關(guān)知識(shí),并以數(shù)字電路為例介紹可進(jìn)化硬件設(shè)計(jì)方法。
1.1遺傳算法
遺傳算法是模擬生物在自然環(huán)境中的遺傳和進(jìn)化過程的一種自適應(yīng)全局優(yōu)化算法,它借鑒了物種進(jìn)化的思想,將欲求解問題編碼,把可行解表示成字符串形式,稱為染色體或個(gè)體。先通過初始化隨機(jī)產(chǎn)生一群個(gè)體,稱為種群,它們都是假設(shè)解。然后把這些假設(shè)解置于問題的“環(huán)境”中,根據(jù)適應(yīng)值或某種競爭機(jī)制選擇個(gè)體(適應(yīng)值就是解的滿意程度),使用各種遺傳操作算子(包括選擇,變異,交叉等等)產(chǎn)生下一代(下一代可以完全替代原種群,即非重疊種群;也可以部分替代原種群中一些較差的個(gè)體,即重疊種群),如此進(jìn)化下去,直到滿足期望的終止條件,得到問題的最優(yōu)解為止。
1.2現(xiàn)場可編程邏輯陣列(FPGA)
現(xiàn)場可編程邏輯陣列是一種基于查找表(LUT,Lookupbr)結(jié)構(gòu)的可在線編程的邏輯電路。它由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài),工作時(shí)需要對片內(nèi)的RAM進(jìn)行編程。當(dāng)用戶通過原理圖或硬件描述語言(HDL)描述了一個(gè)邏輯電路以后,F(xiàn)PGA開發(fā)軟件會(huì)把設(shè)計(jì)方案通過編譯形成數(shù)據(jù)流,并將數(shù)據(jù)流下載至RAM中。這些RAM中的數(shù)據(jù)流決定電路的邏輯關(guān)系。掉電后,F(xiàn)PGA恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,F(xiàn)PGA能夠反復(fù)使用,灌入不同的數(shù)據(jù)流就會(huì)獲得不同的硬件系統(tǒng),這就是可編程特性。這一特性是實(shí)現(xiàn)EHW的重要特性。目前在可進(jìn)化電子電路的設(shè)計(jì)中,用得最多得是Xilinx公司的Virtex系列FPGA芯片。
2進(jìn)化電子電路設(shè)計(jì)架構(gòu)
本節(jié)以設(shè)計(jì)高容錯(cuò)性的數(shù)字電路設(shè)計(jì)為例來闡述EHW的設(shè)計(jì)架構(gòu)及主要設(shè)計(jì)步驟。對于通過進(jìn)化理論的遺傳算法來產(chǎn)生容錯(cuò)性,所設(shè)計(jì)的電路系統(tǒng)可以看作一個(gè)具有持續(xù)性地、實(shí)時(shí)地適應(yīng)變化的硬件系統(tǒng)。對于電子電路來說,所謂的變化的來源很多,如硬件故障導(dǎo)致的錯(cuò)誤,設(shè)計(jì)要求和規(guī)則的改變,環(huán)境的改變(各種干擾的出現(xiàn))等。
從進(jìn)化論的角度來看,當(dāng)這些變化發(fā)生時(shí),個(gè)體的適應(yīng)度會(huì)作相應(yīng)的改變。當(dāng)進(jìn)化進(jìn)行時(shí),個(gè)體會(huì)適應(yīng)這些變化重新獲得高的適應(yīng)度。基于進(jìn)化論的電子電路設(shè)計(jì)就是利用這種原理,通過對設(shè)計(jì)結(jié)果進(jìn)行多次地進(jìn)化來提高其適應(yīng)變化的能力。
電子電路進(jìn)化設(shè)計(jì)架構(gòu)如圖1所示。圖中給出了電子電路的設(shè)計(jì)的兩種進(jìn)化,分別是內(nèi)部進(jìn)化和外部進(jìn)化。其中內(nèi)部進(jìn)化是指硬件內(nèi)部結(jié)構(gòu)的進(jìn)化,而外部進(jìn)化是指軟件模擬的電路的進(jìn)化。這兩種進(jìn)化是相互獨(dú)立的,當(dāng)然通過外部進(jìn)化得到的最終設(shè)計(jì)結(jié)果還是要由硬件結(jié)構(gòu)的變化來實(shí)際體現(xiàn)。從圖中可以看出,進(jìn)化過程是一個(gè)循環(huán)往復(fù)的過程,其中是根據(jù)進(jìn)化算法(遺傳算法)的計(jì)算結(jié)果來進(jìn)行的。整個(gè)進(jìn)化設(shè)計(jì)包括以下步驟:
(1)根據(jù)設(shè)計(jì)的目的,產(chǎn)生初步的方案,并把初步方案用一組染色體(一組“0”和“1”表示的數(shù)據(jù)串)來表示,其中每個(gè)個(gè)體表示的是設(shè)計(jì)的一部分。染色體轉(zhuǎn)化成控制數(shù)據(jù)流下載到FPGA上,用來定義FPGA的開關(guān)狀態(tài),從而確定可重構(gòu)硬件內(nèi)部各單元的聯(lián)結(jié),形成了初步的硬件系統(tǒng)。用來設(shè)計(jì)進(jìn)化硬件的FPGA器件可以接受任意組合的數(shù)據(jù)流下載,而不會(huì)導(dǎo)致器件的損害。
(2)將設(shè)計(jì)結(jié)果與目標(biāo)要求進(jìn)行比較,并用某種誤差表示作為描述系統(tǒng)適應(yīng)度的衡量準(zhǔn)則。這需要一定的檢測手段和評估軟件的支持。對不同的個(gè)體,根據(jù)適應(yīng)度進(jìn)行排序,下一代的個(gè)體將由最優(yōu)的個(gè)體來產(chǎn)生。
(3)根據(jù)適應(yīng)度再對新的個(gè)體組進(jìn)行統(tǒng)計(jì),并根據(jù)統(tǒng)計(jì)結(jié)果挑選一些個(gè)體。一
部分被選個(gè)體保持原樣,另一部分個(gè)體根據(jù)遺傳算法進(jìn)行修改,如進(jìn)行交叉和變異,而這種交叉和變異的目的是為了產(chǎn)生更具適應(yīng)性的下一代。把新一代染色體轉(zhuǎn)化成控制數(shù)據(jù)流下載到FPGA中對硬件進(jìn)行進(jìn)化。
(4)重復(fù)上述步驟,產(chǎn)生新的數(shù)代個(gè)體,直到新的個(gè)體表示的設(shè)計(jì)方案表現(xiàn)出接近要求的適應(yīng)能力為止。
一般來說通過遺傳算法最后會(huì)得到一個(gè)或數(shù)個(gè)設(shè)計(jì)結(jié)果,最后設(shè)計(jì)方案具有對設(shè)計(jì)要求和系統(tǒng)工作環(huán)境的最佳適應(yīng)性。這一過程又叫內(nèi)部進(jìn)化或硬件進(jìn)化。
圖中的右邊展示了另一種設(shè)計(jì)可進(jìn)化電路的方法,即用模擬軟件來代替可重構(gòu)器件,染色體每一位確定的是軟件模擬電路的連接方式,而不是可重構(gòu)器件各單元的連接方式。這一方法叫外部進(jìn)化或軟件進(jìn)化。這種方法中進(jìn)化過程完全模擬進(jìn)行,只有最后的結(jié)果才在器件上實(shí)施。
進(jìn)化電子電路設(shè)計(jì)中,最關(guān)鍵的是遺傳算法的應(yīng)用。在遺傳算法的應(yīng)用過程中,變異因子的確定是需要慎重考慮的,它的大小既關(guān)系到個(gè)體變異的程度,也關(guān)系到個(gè)體對環(huán)境變化做出反應(yīng)的能力,而這兩個(gè)因素相互抵觸。變異因子越大,個(gè)體更容易適應(yīng)環(huán)境變化,對系統(tǒng)出現(xiàn)的錯(cuò)誤做出快速反應(yīng),但個(gè)體更容易發(fā)生突變。而變異因子較小時(shí),系統(tǒng)的反應(yīng)力變差,但系統(tǒng)一旦獲得高適應(yīng)度的設(shè)計(jì)方案時(shí)可以保持穩(wěn)定。
對于可進(jìn)化數(shù)字電路的設(shè)計(jì),可以在兩個(gè)層面上進(jìn)行。一個(gè)是在基本的“與”、“或”、“非”門的基礎(chǔ)上進(jìn)行進(jìn)化設(shè)計(jì),一個(gè)是在功能塊如觸發(fā)器、加法器和多路選擇器的基礎(chǔ)上進(jìn)行。前一種方法更為靈活,而后一種更適于工業(yè)應(yīng)用。有人提出了一種基于進(jìn)化細(xì)胞機(jī)(CellularAutomaton)的神經(jīng)網(wǎng)絡(luò)模塊設(shè)計(jì)架構(gòu)。采用這一結(jié)構(gòu)設(shè)計(jì)時(shí),只需要定義整個(gè)模塊的適應(yīng)度,而對于每一模塊如何實(shí)現(xiàn)它復(fù)雜的功能可以不予理睬,對于超大規(guī)模線路的設(shè)計(jì)可以采用這一方法來將電路進(jìn)行整體優(yōu)化設(shè)計(jì)。
3可進(jìn)化電路設(shè)計(jì)環(huán)境
上面描述的軟硬件進(jìn)化電子電路設(shè)計(jì)可在圖2所示的設(shè)計(jì)系統(tǒng)環(huán)境下進(jìn)行。這一設(shè)計(jì)系統(tǒng)環(huán)境對于測試可重構(gòu)硬件的構(gòu)架及展示在FPGA可重構(gòu)硬件上的進(jìn)化設(shè)計(jì)很有用處。該設(shè)計(jì)系統(tǒng)環(huán)境包括遺傳算法軟件包、FPGA開發(fā)系統(tǒng)板、數(shù)據(jù)采集軟硬件、適應(yīng)度評估軟件、用戶接口程序及電路模擬仿真軟件。
遺傳算法由計(jì)算機(jī)上運(yùn)行的一個(gè)程序包實(shí)現(xiàn)。由它來實(shí)現(xiàn)進(jìn)化計(jì)算并產(chǎn)生染色體組。表示硬件描述的染色體通過通信電纜由計(jì)算機(jī)下載到有FPGA器件的實(shí)驗(yàn)板上。然后通過接口將布線結(jié)果傳回計(jì)算機(jī)。適應(yīng)度評估建立在儀器數(shù)據(jù)采集硬件及軟件上,一個(gè)接口碼將GA與硬件連接起來,可能的設(shè)計(jì)方案在此得到評估。同時(shí)還有一個(gè)圖形用戶接口以便于設(shè)計(jì)結(jié)果的可視化和將問題形式化。通過執(zhí)行遺傳算法在每一代染色體組都會(huì)產(chǎn)生新的染色體群組,并被轉(zhuǎn)化為數(shù)據(jù)流傳入實(shí)驗(yàn)板上。至于通過軟件進(jìn)化的電子電路設(shè)計(jì),可采用Spice軟件作為線路模擬仿真軟件,把染色體變成模擬電路并通過仿真軟件來仿真電路的運(yùn)行情況,通過相應(yīng)軟件來評估設(shè)計(jì)結(jié)果。
關(guān)鍵詞:計(jì)數(shù)器;Multisim13;數(shù)字鐘;設(shè)計(jì);仿真
引言
數(shù)字鐘是一種用數(shù)字電子技術(shù)實(shí)現(xiàn)時(shí)、分、秒同時(shí)顯示計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,并且沒有 機(jī)械裝置,使用起來方便快捷,具有很長的使用壽命,近年來得到廣泛使用。數(shù)字鐘可以是單片的也可以是集成的,其實(shí)現(xiàn)方式有很多種,可以用中小規(guī)模集成電路組成數(shù)字鐘;也可以利用專用的數(shù)字鐘芯片配以顯示電路;還可以用單片機(jī)來實(shí)現(xiàn),本文的數(shù)字鐘是采用Multisim13進(jìn)行設(shè)計(jì)和仿真的。采用軟件仿真的方法,克服了實(shí)驗(yàn)室的條件限制,避免了使用中 損壞等不利因素。[1]
Multisim13是美國IN公司開發(fā)的一款強(qiáng)大的電路模擬軟件,可以進(jìn)行復(fù)雜的板級電路模擬和數(shù)字電路仿真,還可以用Multisim來進(jìn)行數(shù)字電路PCB板的設(shè)計(jì),此版本還可以單片機(jī)等MCU的仿真。全新的Multisim13包括以下優(yōu)勢:
(1)電路參數(shù)和參數(shù)掃描分析。
(2)結(jié)合NI myRIO and Digilent FPGA對象進(jìn)行數(shù)字電路教學(xué)。
(3)使用IGBT和MOSFET熱模型進(jìn)行電力電子分析。
(4)包括超^26,000個(gè)元件的元器件庫。
(5)通過用于LabVIEW系統(tǒng)設(shè)計(jì)軟件的Multisim API工具包實(shí)現(xiàn)設(shè)計(jì)自動(dòng)化。
1 電路設(shè)計(jì)與仿真
單元電路設(shè)計(jì)與仿真:
(1)二十四進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真
二十四進(jìn)制計(jì)數(shù)器電路采用兩片74160N實(shí)現(xiàn),當(dāng)個(gè)位計(jì)數(shù)電路計(jì)數(shù)到9的時(shí)候同時(shí)向十位發(fā)出進(jìn)一位信號(hào)脈沖,當(dāng)計(jì)數(shù)到24的時(shí)候,個(gè)位輸出端輸出0100,十位輸出端輸出0010,將個(gè)位的輸出端QC與十位的輸出端QB通過一個(gè)與非門同時(shí)接到兩片計(jì)數(shù)芯片的清零端,其設(shè)計(jì)電路和仿真結(jié)果分別如圖1和圖2所示。
(2)六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真
六十進(jìn)制計(jì)數(shù)器同樣采用兩片74160N來實(shí)現(xiàn),一片計(jì)數(shù)秒或分的個(gè)位,一片計(jì)數(shù)秒或分的十位,當(dāng)秒計(jì)數(shù)到60時(shí)即清零,同時(shí)產(chǎn)生進(jìn)位到分計(jì)數(shù)電路,分計(jì)數(shù)電路就加一,和二十四進(jìn)制計(jì)數(shù)器采用反饋清零法,使用一個(gè)與非門74LS00,它的輸入端接到QB和QC,當(dāng)計(jì)數(shù)到60時(shí),十位計(jì)數(shù)的計(jì)數(shù)芯片的輸出端(QA,QB,QC,QD)將輸出0110,那么輸出端將產(chǎn)生一個(gè)低電平,連接到74LS160N的CLR清零端時(shí)計(jì)數(shù)器又從0000開始計(jì)數(shù),同時(shí)此信號(hào)也可以作為分計(jì)時(shí)電路的輸入,其設(shè)計(jì)電路和仿真結(jié)果分別如圖3和圖4所示。
(3)總體電路設(shè)計(jì)與仿真,如圖2。
2 本設(shè)計(jì)的優(yōu)點(diǎn)
其他數(shù)字鐘電路的設(shè)計(jì)都需要555定時(shí)器產(chǎn)生1KZ脈沖,并需要分頻器產(chǎn)生1HZ的脈沖,但有的Multisim版本不能產(chǎn)生1HZ脈沖,并且產(chǎn)生的脈沖不穩(wěn)定,所以為了避免產(chǎn)生以上問題,本次設(shè)計(jì)直接采用1HZ的信號(hào)源,可以產(chǎn)生比較穩(wěn)定的1HZ的脈沖,而且設(shè)計(jì)比較簡單,不需要加信號(hào)產(chǎn)生電路。
3 結(jié)束語
本設(shè)計(jì)使用了74160N芯片,具有脈沖源穩(wěn)定、設(shè)計(jì)簡單等優(yōu)點(diǎn)。該系統(tǒng)主要用在糧倉儲(chǔ)運(yùn)系統(tǒng)中,設(shè)備運(yùn)轉(zhuǎn)情況良好,其測試數(shù)據(jù)和曲線真實(shí)可靠,數(shù)據(jù)通訊準(zhǔn)確、可靠,可以有效預(yù)報(bào)儲(chǔ)糧情況,提高儲(chǔ)糧的安全性,進(jìn)而取得顯著的經(jīng)濟(jì)和社會(huì)效益。[1]
關(guān)鍵詞:數(shù)字電子技術(shù);EDA技術(shù);結(jié)合;仿真
中圖分類號(hào):TP331.2文獻(xiàn)標(biāo)識(shí)碼:A
文章編號(hào):1004-373X(2009)20-120-03
Discussion on Combination of Digital Electronic Technique and EDA Technique
CAO Lijie,LI Songsong
(Dalian Fisheries University,Dalian,116023,China)
Abstract:Because of research on the combination of "Digital Electronic Technique"and "EDA Technique" is shortage,advantages of the combination between them are mon EDA software Max+Plus Ⅱ is introduced,and advantages of the combination of digital electronic technique and EDA technique are analyzed with examples and simulation.From the analysis,it is clearly that the combination of two classes has advantages such as:realization of hardware design with software,analysis ofthe circuit condition,realization of the "open" digital circuit laboratory.Through the combination of two classes,teachers can explain the theory clearly,students have more chance to examine circuit.It has positive effect in teaching and learning.
Keywords:digital electronic technique;EDA technique;combination;simulation
在信息社會(huì)中,數(shù)字化是電子產(chǎn)業(yè)發(fā)展的必然趨勢,因此在電子信息及相關(guān)專業(yè)的教學(xué)中也越來越看重?cái)?shù)字技術(shù),數(shù)字電子技術(shù)作為數(shù)字技術(shù)中一門極其重要的學(xué)科基礎(chǔ)課在本科教學(xué)中很受重視。EDA(Electronic Design Automation)技術(shù)作為數(shù)字電子技術(shù)的延伸,已經(jīng)引入到電子信息類本科教學(xué)中[1-3]。目前,單獨(dú)針對數(shù)字電子技術(shù)課程和EDA課程的教學(xué)改革比較多,但是對于將兩門課程結(jié)合起來有何優(yōu)勢的研究還有待教師探索[4-6]。因此,通過使用常用的EDA工具軟件,結(jié)合實(shí)例,就數(shù)字電子技術(shù)與EDA技術(shù)相結(jié)合做一探討。
1 常用EDA工具軟件簡介
常用的EDA軟件有加拿大IIT公司推出的EWB(Electronics WorkBench),在EWB基礎(chǔ)上形成的Multisim以及美國Altera公司開發(fā)的Max+Plus Ⅱ [7]。
目前,我校EDA實(shí)驗(yàn)室所使用的軟件是美國Altera公司開發(fā)的Max+Plus Ⅱ,所以本文中的例子都是基于此平臺(tái)進(jìn)行的。
Max+Plus Ⅱ(Multiple Array and Programming Logic User System)具有Windows操作系統(tǒng)的程序界面,采用全菜單操作和鼠標(biāo)操作方式,是一個(gè)方便、易學(xué)易用、功能全面的EDA工具。Max+Plus Ⅱ支持原理圖、VHDL語言和Verilog語言文本文件,以及波形EDIF等格式化的文件作為設(shè)計(jì)輸入[7]。使用Max+Plus Ⅱ進(jìn)行電路設(shè)計(jì)的流程簡單,經(jīng)過設(shè)計(jì)輸入、設(shè)計(jì)編譯、設(shè)計(jì)仿真、下載即可完成。
2 數(shù)字電子技術(shù)與EDA技術(shù)相結(jié)合的幾點(diǎn)益處
2.1 將數(shù)字電子技術(shù)中難以實(shí)現(xiàn)的硬件設(shè)計(jì)轉(zhuǎn)換為軟件設(shè)計(jì)
在傳統(tǒng)的數(shù)字電子技術(shù)教學(xué)中,講授組合邏輯電路設(shè)計(jì)時(shí),首先分析設(shè)計(jì)要求,按照要求列出真值表;然后進(jìn)行邏輯函數(shù)表達(dá)式的化簡,得出表達(dá)形式最簡的輸出函數(shù)表達(dá)式,最后畫出邏輯圖。當(dāng)輸入變量比較少時(shí),這種方法無疑是簡單有效的,但是,當(dāng)輸入變量比較多時(shí),這種方法就顯得很吃力。下面以設(shè)計(jì)8位奇校驗(yàn)電路為例進(jìn)行說明。
若采用傳統(tǒng)的設(shè)計(jì)方案,首先需要畫出8變量真值表,8變量真值表需要28行(即256行),這就非常麻煩,而邏輯函數(shù)的化簡更是難上加難。如果借助Max+Plus Ⅱ軟件,使用VHDL語句,按照8位奇校驗(yàn)邏輯功能,用軟件方法來實(shí)現(xiàn)硬件設(shè)計(jì)。8位奇校驗(yàn)電路的VHDL程序如下所示[8]:
library ieee;
use ieee.std_logic_1164.all;
entity p_check is
port(a:in std_logic_vector (7 downto 0);
y:out std_logic);
end p_check;
architecture art of p_check is
begin
process(a)
variable tmp:std_logic;
begin
tmp:='1';
for i in 0 to 7 loop
tmp:=tmp xor a(i);
end loop;
y
end process;
end art;
其中:a表示8位輸入信號(hào);y表示奇校驗(yàn)輸出,通過觀察該程序可以發(fā)現(xiàn),程序邏輯性強(qiáng),簡單易讀。
對上述程序進(jìn)行仿真,仿真波形如圖1所示。
圖1 8位奇校驗(yàn)電路仿真波形
通過觀察可以看出,該仿真波形完全符合奇校驗(yàn)邏輯功能。在Max+Plus Ⅱ軟件下進(jìn)行綜合,可以得到8位奇校驗(yàn)電路的邏輯符號(hào),當(dāng)其他的設(shè)計(jì)工作中需要用到8位奇校驗(yàn)功能時(shí),可以直接調(diào)用此元器件,不必重新設(shè)計(jì),簡化了設(shè)計(jì)工作。
通過EDA技術(shù)實(shí)現(xiàn)數(shù)字電路設(shè)計(jì),可以讓學(xué)生嘗試用軟件代替硬件,實(shí)現(xiàn)硬件電路軟件化。學(xué)生應(yīng)用EDA技術(shù)除了可以實(shí)現(xiàn)小規(guī)模的電子電路設(shè)計(jì),還可以通過對CPLD,FPGA編程,設(shè)計(jì)復(fù)雜的電路系統(tǒng)。
關(guān)鍵詞:數(shù)字電子時(shí)鐘;計(jì)數(shù)器;Multisim10
1 概述
數(shù)字電子時(shí)鐘是由數(shù)字電路構(gòu)成、有數(shù)字顯示特點(diǎn)的一種現(xiàn)代化的計(jì)時(shí)工具[1-3],它顯示直觀、走時(shí)精準(zhǔn),深受人們的喜歡,廣泛應(yīng)用于公交站、汽車站、圖書館、商店、大型廣場等公眾場合以及百姓家庭,給人們的生活、學(xué)習(xí)、工作和娛樂帶來了很大的便利[4-5]。
Multisim10是美國NI公司推出的用于電子電路仿真和設(shè)計(jì)的EDA工具軟件,可以實(shí)現(xiàn)計(jì)算機(jī)仿真設(shè)計(jì)與虛擬實(shí)驗(yàn),是一個(gè)高效的設(shè)計(jì)仿真平臺(tái)[6-10]。其強(qiáng)大的虛擬儀器庫和仿真功能,為電路設(shè)計(jì)與分析創(chuàng)造了良好的環(huán)境,也提高了電路設(shè)計(jì)效率。
簡易數(shù)字電子時(shí)鐘的核心電路部分是計(jì)時(shí)和數(shù)字顯示兩個(gè),本文應(yīng)用Multisim10仿真軟件設(shè)計(jì)了一個(gè)時(shí)鐘電路,能夠準(zhǔn)確而直觀地將時(shí)間的“時(shí)”“分”“秒”以數(shù)字方式顯示出來,并設(shè)計(jì)了時(shí)間校正電路使其準(zhǔn)確工作,該電路具有校時(shí)功能和整點(diǎn)自動(dòng)報(bào)時(shí)功能。盡管本文設(shè)計(jì)的數(shù)字時(shí)鐘與當(dāng)今社會(huì)正使用的數(shù)字時(shí)鐘差別較大,但研究其核心數(shù)字電路部分及擴(kuò)展其應(yīng)用,仍具有非常重要的指導(dǎo)意義[11]。
2 設(shè)計(jì)方案及電路框圖
數(shù)字時(shí)鐘是一個(gè)將時(shí)間的“時(shí)”、“分”、“秒”以數(shù)字的形式顯示于人的視覺器官的一種計(jì)時(shí)裝置,它的主要功能是計(jì)時(shí)和顯示,因此,簡易數(shù)字電子時(shí)鐘電路的主要電路設(shè)計(jì)包括標(biāo)準(zhǔn)脈沖計(jì)數(shù)信號(hào)模塊、“時(shí)、分、秒”計(jì)數(shù)模塊、時(shí)間顯示模塊等電路的設(shè)計(jì)。其中,標(biāo)準(zhǔn)時(shí)間計(jì)數(shù)脈沖信號(hào)由555振蕩器經(jīng)分頻器得到,即1Hz的秒計(jì)數(shù)脈沖信號(hào);由于計(jì)時(shí)可能出現(xiàn)誤差,故在電路中增加時(shí)間校準(zhǔn)電路模塊。最后,在主電路正常運(yùn)行情況下,擴(kuò)展其整點(diǎn)報(bào)時(shí)功能。總體電路框圖設(shè)計(jì)如圖1所示。
3 各電路模塊的設(shè)計(jì)及仿真調(diào)試
在Multisim10仿真平臺(tái)上搭建簡易數(shù)字電子時(shí)鐘的總設(shè)計(jì)仿真電路圖如圖2所示,其各電路模塊設(shè)計(jì)如下。
3.1 標(biāo)準(zhǔn)計(jì)數(shù)脈沖信號(hào)
本文設(shè)計(jì)的標(biāo)準(zhǔn)時(shí)間計(jì)數(shù)脈沖信號(hào)由555振蕩器與RC組成的多諧振蕩電路產(chǎn)生,即1Hz的秒計(jì)數(shù)脈沖信號(hào),作為總電路的計(jì)數(shù)時(shí)鐘脈沖,也是擴(kuò)展電路所需要的工作信號(hào)。(見圖3)
該電路模塊設(shè)計(jì)的優(yōu)點(diǎn)是:555多諧震蕩電路內(nèi)部的比較器靈敏度較高,并應(yīng)用差分電路形式,使其振蕩頻率受電源電壓和溫度變化的影響很小。缺點(diǎn)是:若要精確穩(wěn)定地輸出1Hz脈沖信號(hào),對電容和電阻的數(shù)值精度要求很高。
3.2 計(jì)數(shù)顯示模塊
在時(shí)鐘的計(jì)數(shù)控制電路模塊中,有了時(shí)間標(biāo)準(zhǔn)“秒”計(jì)數(shù)脈沖信號(hào)后,就可以按照“60秒為1分”、“60分為1時(shí)”、“24時(shí)為1天”的計(jì)數(shù)規(guī)則進(jìn)行計(jì)數(shù)電路模塊的設(shè)計(jì)。然后設(shè)計(jì)“時(shí)”、“分”、“秒”三個(gè)譯碼顯示電路,將“時(shí)”、“分”、“秒”的計(jì)數(shù)狀態(tài)在七段數(shù)碼管上顯示成直觀的數(shù)字符號(hào)。在本文設(shè)計(jì)中,采用十進(jìn)制同步加法計(jì)數(shù)器芯片74LS160N來實(shí)現(xiàn)計(jì)數(shù)的十進(jìn)制功能和六進(jìn)制功能,其工作狀態(tài)表如表1所示,芯片引腳圖如圖4所示。
74LS160N的CLK是脈沖輸入端,RCO為進(jìn)位信號(hào)輸出端,ENP和ENT是計(jì)數(shù)的工作狀態(tài)端,CLR為清零端,LOAD櫓檬端,A~D是數(shù)據(jù)輸入端,QA~QD為輸出端。74LS160是一個(gè)十進(jìn)制的計(jì)數(shù)器。
應(yīng)用芯片的異步清零功能,將芯片74LS160N的輸出端的0110(十進(jìn)制為6)用一個(gè)兩輸入的與非門74LS00引到CLR端即可置零,實(shí)現(xiàn)六進(jìn)制計(jì)數(shù)功能。
3.2.1 六十進(jìn)制計(jì)數(shù)顯示模塊
在計(jì)數(shù)顯示電路模塊中,分和秒的計(jì)數(shù)控制是一樣的,即六十進(jìn)制計(jì)數(shù)功能,電路模塊設(shè)計(jì)如圖5所示。設(shè)計(jì)中用兩片十進(jìn)制計(jì)數(shù)芯片74LS160N級聯(lián),高位芯片進(jìn)行六進(jìn)制計(jì)數(shù)功能,低位芯片進(jìn)行十進(jìn)制計(jì)數(shù)功能,從而實(shí)現(xiàn)計(jì)數(shù)范圍00-59的計(jì)數(shù)功能。設(shè)計(jì)時(shí),將低位芯片的進(jìn)位輸出CO端接到高位芯片的時(shí)鐘脈沖信號(hào)輸入端CLK,計(jì)數(shù)脈沖信號(hào)在上升沿到來時(shí)計(jì)數(shù)器開始計(jì)數(shù),當(dāng)計(jì)數(shù)到59時(shí),再來一個(gè)計(jì)數(shù)脈沖信號(hào),兩芯片都要清零,于是,應(yīng)用74LS160N的異步清零功能,當(dāng)高位芯片計(jì)數(shù)到6(即輸出狀態(tài)為0110)時(shí),將輸出狀態(tài)通過一個(gè)兩輸入與非門引到兩芯片的異步清零端進(jìn)行復(fù)位,從而實(shí)現(xiàn)六十進(jìn)制計(jì)數(shù)功能。
3.2.2 二十四進(jìn)制計(jì)數(shù)顯示模塊
時(shí)計(jì)數(shù)顯示電路模塊也由兩片74LS160N芯片級聯(lián)產(chǎn)生,它的計(jì)數(shù)范圍是00-23,計(jì)數(shù)時(shí)鐘脈沖信號(hào)來自分計(jì)數(shù)顯示電路的高位芯片的進(jìn)位輸出。該電路模塊的低位芯片計(jì)數(shù)為4(即輸出狀態(tài)為0100),高位芯片計(jì)數(shù)為2(即輸出狀態(tài)為0010)時(shí),將輸出狀態(tài)通過一個(gè)兩輸入與非門引到兩芯片的異步清零端進(jìn)行復(fù)位,從而實(shí)現(xiàn)二十四制計(jì)數(shù)器功能,電路模塊設(shè)計(jì)如圖6所示。
3.3 校準(zhǔn)電路模塊
數(shù)字電子時(shí)鐘應(yīng)具有分校準(zhǔn)和時(shí)校準(zhǔn)功能,因此,應(yīng)截?cái)喾质缓蜁r(shí)十位的直接計(jì)數(shù)路徑,并增加秒脈沖計(jì)時(shí)信號(hào)與校正信號(hào)隨時(shí)切換電路。設(shè)計(jì)校時(shí)電路的關(guān)鍵,是通過開關(guān)按鍵,控制電路中“秒”到“分”、“分”到“時(shí)”的進(jìn)位輸入端的高低電平的變化,從而實(shí)現(xiàn)手動(dòng)校準(zhǔn)“分”和“時(shí)”。下面以分校準(zhǔn)電路為例,如圖7所示。
3.4 整點(diǎn)報(bào)時(shí)模塊
電路設(shè)計(jì)在整點(diǎn)前10秒鐘內(nèi)開始進(jìn)行整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59分50秒到59分59秒期間時(shí),報(bào)時(shí)電路發(fā)出報(bào)時(shí)控制信號(hào)。當(dāng)時(shí)間在59分50秒到59分59秒期間時(shí),分十位、分個(gè)位和秒十位均保持不變,分別為5、9和5,因此可將分計(jì)數(shù)器十位的Qc和QA、個(gè)位的QD和QA及秒計(jì)數(shù)器十位的Qc和QA相與,通過8輸入與非門74HC30芯片輸出,從而產(chǎn)生報(bào)時(shí)控制信號(hào)。整點(diǎn)報(bào)時(shí)的功能要求時(shí),每當(dāng)數(shù)字鐘計(jì)時(shí)快到整點(diǎn)時(shí)發(fā)出鬧鈴聲。由原理可知當(dāng)分鐘計(jì)數(shù)到一個(gè)周期向前進(jìn)位時(shí),蜂鳴器開始工作,電路模塊設(shè)計(jì)如圖8所示。
4 仿真結(jié)果及分析
將設(shè)計(jì)好的各電路模塊進(jìn)行組建,得到如圖2所示的數(shù)字電子時(shí)鐘仿真電路圖。按下仿真開始鍵,電路進(jìn)入時(shí)鐘計(jì)時(shí)狀態(tài),通過“Pause Simulation”按鍵,得到以下仿真結(jié)果。(見圖9、圖10)
由以上仿真結(jié)果可以看出,本文設(shè)計(jì)的簡易數(shù)字電子時(shí)鐘能實(shí)現(xiàn)時(shí)鐘的正常功能,達(dá)到設(shè)計(jì)要求。
5 結(jié)束語
本文基于Multisim10仿真軟件,對簡易數(shù)字電子時(shí)鐘的各電路模塊單元進(jìn)行了設(shè)計(jì),較好地完成了電路功能的設(shè)計(jì),并達(dá)到了基本設(shè)計(jì)要求。該電路設(shè)計(jì)是提升數(shù)字電子技術(shù)基礎(chǔ)理論知識(shí)轉(zhuǎn)化為實(shí)際動(dòng)手設(shè)計(jì)能力的一個(gè)重要方面,另外,即使在數(shù)字電路及其他更多的課程中涉及到的較為復(fù)雜的電路設(shè)計(jì)中,文中較為清晰的設(shè)計(jì)構(gòu)架及思路也較強(qiáng)的參考借鑒價(jià)值。
參考文獻(xiàn)
[1]張昌玉.數(shù)字電子鐘的設(shè)計(jì)[J].科技風(fēng),2016,3(6):3-3.
[2]王迎勛,王香,黃家平,等.基于Multisim13的數(shù)字鐘的設(shè)計(jì)與仿真[J].科技創(chuàng)新與應(yīng)用,2017,3(9):63-64.
[3]印健健.基于74LS90數(shù)字電子鐘邏輯電路的設(shè)計(jì)[J].電子制作,.2013,16(2):168-171.
[4]陳艷,李文娟.數(shù)字電路的邏輯設(shè)計(jì)探究[J].科技創(chuàng)新與應(yīng)用,2017,4(10):105-105.
[5]閻石.數(shù)字電子技術(shù)基礎(chǔ)(第五版)[M].北京:高等教育出版社,2006,5:1-497.
[6]趙永杰,王國玉.Multisim10電路仿真技術(shù)應(yīng)用[M].電子工業(yè)出版社,2012,4:1-211.
[7]沈歡,王云秀,沈鉆楊,等.Multisim在電子類教學(xué)中的應(yīng)用[J].大眾科技,2016,8(8):117-119.
[8]王爾申,龐濤,,等.Multisim和Proteus仿真在數(shù)字電路課程教W中的應(yīng)用[J].實(shí)驗(yàn)技術(shù)與管理,2013,3(3):78-81.
[9]陳崇輝.電工電子技術(shù)實(shí)驗(yàn)指導(dǎo)[M].華南理工大學(xué)出版社,2016,8:1-254.
[10]周旋.關(guān)于Multisim10.0的高電平調(diào)幅電路仿真研究[J].中國新通信.2017,1(1):152-152.
本文簡要分析了電子電路設(shè)計(jì)中關(guān)鍵的設(shè)計(jì)原則、設(shè)計(jì)方法,以及設(shè)計(jì)和制作的過程。
【關(guān)鍵詞】電子電路 設(shè)計(jì) 制作 調(diào)試
【關(guān)鍵詞】電子電路 設(shè)計(jì) 制作 調(diào)試
隨著科技的發(fā)展和社會(huì)的不斷進(jìn)步,越來越多的新型電子產(chǎn)品不斷涌現(xiàn)。電子電路作為這些電子產(chǎn)品的核心部分,直接關(guān)系到電子產(chǎn)品的性能及質(zhì)量。因此,對電子電路的設(shè)計(jì)原則、設(shè)計(jì)方法、設(shè)計(jì)步驟以及制作調(diào)試過程進(jìn)行研究分析,具有重要的意義。
1 電子電路的設(shè)計(jì)原則
1.1 整體性原則
在電子電路的設(shè)計(jì)中,既要以整體為出發(fā)點(diǎn),也要注重考慮其內(nèi)部的各個(gè)組成部分之間的相互關(guān)系,同時(shí)還應(yīng)注意電路的整體受到外部環(huán)境影響的因素。在設(shè)計(jì)的過程當(dāng)中,應(yīng)該注意以綜合為前提,以分析為主。在對電路進(jìn)行分析時(shí)要局部綜合考慮,而在綜合時(shí)也要對各元件的功能具體分析。
1.2 功能性原則
將整個(gè)的電子電路系統(tǒng)劃分成幾個(gè)不同的模塊,每個(gè)模塊能夠獨(dú)立完成一項(xiàng)或者幾項(xiàng)功能。設(shè)計(jì)電子電路時(shí),對各個(gè)模塊分別進(jìn)行設(shè)計(jì)分析,然后再將之組合成最終所需要的系統(tǒng)。
1.3 最優(yōu)化原則
當(dāng)電子電路的設(shè)計(jì)初步完成時(shí),系統(tǒng)已能夠初步實(shí)現(xiàn)所需要達(dá)到的功能,但該系統(tǒng)的各個(gè)模塊在相互配合的過程中可能還存在著一些問題,使功能不能實(shí)現(xiàn)最優(yōu)化。這就需要對各個(gè)模塊或者各個(gè)調(diào)整元器件的參數(shù)進(jìn)行調(diào)整分析,從而找到最優(yōu)值,實(shí)現(xiàn)系統(tǒng)功能的最優(yōu)化。
2 電子電路的設(shè)計(jì)方法
2.1 層次化設(shè)計(jì)方法
該方法的設(shè)計(jì)思路就是對電子電路系統(tǒng)分模塊、分層次的進(jìn)行設(shè)計(jì)。層次設(shè)計(jì)中的子模塊可以調(diào)用現(xiàn)有的、比較成熟的模塊,也可以對模塊進(jìn)行創(chuàng)新性設(shè)計(jì)。電子電路系統(tǒng)的層次設(shè)計(jì)包括了系統(tǒng)級的頂層設(shè)計(jì)、電路級的中層設(shè)計(jì)以及物理實(shí)現(xiàn)級的底層設(shè)計(jì)這三個(gè)不同的設(shè)計(jì)層次。
2.2 漸近式的組合設(shè)計(jì)方法
該設(shè)計(jì)方法是在基礎(chǔ)單元電路熟練掌握之后,按照電子電路的功能要求,快速完成組合圖的設(shè)計(jì)。具體過程是首先根據(jù)設(shè)計(jì)要求確定電子電路的功能指標(biāo)以及技術(shù)參數(shù),然后以此來提出設(shè)計(jì)思路并按照設(shè)計(jì)思路畫出組合圖。在設(shè)計(jì)的過程中,邊設(shè)計(jì)邊完善,最終達(dá)到設(shè)計(jì)要求。
2.3 最佳化設(shè)計(jì)方法
對于集成電路等難以調(diào)整的電路來說,在設(shè)計(jì)的時(shí)候就需要綜合考慮各種因素,對電路進(jìn)行準(zhǔn)確設(shè)計(jì)。因該種設(shè)計(jì)精度要求高,且計(jì)算較為復(fù)雜,因此就需要選擇計(jì)算機(jī)輔助設(shè)計(jì)來實(shí)現(xiàn)。該方法的關(guān)鍵是構(gòu)建目標(biāo)函數(shù)數(shù)學(xué)模型。
3 電子電路的設(shè)計(jì)步驟
3.1 明確電子電路設(shè)計(jì)的基本要求
在設(shè)計(jì)之前,首先要對設(shè)計(jì)的電路所需實(shí)現(xiàn)的功能以及性能指標(biāo)等進(jìn)行認(rèn)真分析,明確設(shè)計(jì)要求。根據(jù)分析確定各元器件的技術(shù)參數(shù)并盡量使之精準(zhǔn)。
3.2 制定總體設(shè)計(jì)方案
在對電子電路進(jìn)行設(shè)計(jì)時(shí),綜合分析所要實(shí)現(xiàn)的功能,然后根據(jù)自己掌握的知識(shí)及查閱資料,建立幾套備選方案。設(shè)計(jì)方案時(shí),在滿足要求的前提下,應(yīng)盡量使得設(shè)計(jì)的電路經(jīng)濟(jì)、簡潔、實(shí)用。然后對這幾套方案進(jìn)行認(rèn)真分析研究,反復(fù)比對,找出最優(yōu)方案。
3.3 各個(gè)單元電路的設(shè)計(jì)
在對各個(gè)單元的電路進(jìn)行設(shè)計(jì)的過程中,要確定各單元的性能指標(biāo)及技術(shù)參數(shù)等,注意各單元之間的相互關(guān)系,保證所設(shè)計(jì)的電路簡單可靠。在設(shè)計(jì)時(shí),盡量使用現(xiàn)成電路,若實(shí)在找不到,則在現(xiàn)有基礎(chǔ)上加以改進(jìn)。
3.4 電路接線圖的設(shè)計(jì)
電路接線圖的設(shè)計(jì)是整個(gè)設(shè)計(jì)過程當(dāng)中的關(guān)鍵環(huán)節(jié)。一旦電路接線圖有問題,不但達(dá)不到需要的功能,還很有可能會(huì)造成危害。在對電路接線圖進(jìn)行設(shè)計(jì)時(shí),要考慮到各種各樣的因素,其中包括各元器件的位置尺寸、電路板之間的相互關(guān)系、功放管散熱問題以及是否便于維修等。綜合考慮這些因素之后,就可以根據(jù)所確定的電路板的尺寸以及安裝方式等,對電路圖進(jìn)行設(shè)計(jì)。
在對電路接線圖進(jìn)行設(shè)計(jì)時(shí),應(yīng)該滿足一下幾個(gè)要求:一是要保證電路的有序排列,以減少各部分間的影響,使效果最優(yōu);二是將地線安裝在電路板中間,以減少相互干擾;三是可調(diào)整元件的安裝位置要便于調(diào)節(jié),功耗大的部件靠近外側(cè),便于散熱;四是電阻器的安放盡量選擇平臥,以提高電子電路系統(tǒng)的可靠性。
4 電子電路的制作、安裝和調(diào)試
4.1 電子電路的制作及安裝
在電子電路設(shè)計(jì)圖完成之后,需要以此制作印刷電路板,然后測試選用的元器件,測試無誤后安裝到印刷電路板上,完成制作。各元器件以插座的方式與電路板相連接,以便于損壞后的更換。焊接時(shí),還應(yīng)該盡量避免掛錫以及虛焊現(xiàn)象的發(fā)生。
4.2 電子電路的調(diào)試
在電子電路安裝完畢之后,必須對其進(jìn)行調(diào)試,使之達(dá)到設(shè)計(jì)要求,才算最終完成。電子電路的調(diào)試分以下幾步:(1)對電路進(jìn)行仔細(xì)檢查,看其連接是否正確,包括電容極性、元器件的安裝位置以及電源的正負(fù)極連接等。(2)對電路進(jìn)行通電檢測,看是否有元器件發(fā)熱以及冒煙等現(xiàn)象發(fā)生,一旦發(fā)現(xiàn),立即斷電檢查,問題解決后重新檢測,直到無異常現(xiàn)象發(fā)生為止。(3)對電子電路進(jìn)行分塊調(diào)試,把電子電路劃分為幾個(gè)不同的功能模塊,然后分別對其調(diào)試,首先對其進(jìn)行靜態(tài)調(diào)試,合格后再做動(dòng)態(tài)調(diào)試。(4)對電子電路進(jìn)行聯(lián)機(jī)調(diào)試,分塊調(diào)試完畢后,將各模塊聯(lián)接起來做聯(lián)機(jī)調(diào)試,看其運(yùn)行結(jié)果是否已達(dá)到設(shè)計(jì)要求。
5 總結(jié)
電子電路與人們的生活息息相關(guān)。隨著其發(fā)展,電子電路的設(shè)計(jì)方法越來越得到完善,但是仍然存在著需要改進(jìn)的地方。對電子電路的設(shè)計(jì)加以研究,有利于提高電子產(chǎn)品的性能及使用壽命,為今后電子電路的設(shè)計(jì)提供了參考依據(jù)。
參考文獻(xiàn)
[1]余春平.淺析電子電路設(shè)計(jì)制作常用調(diào)試方法與步驟7J].時(shí)代報(bào)告(下半月),2012(06).
[2]劉昌華,莫培滿.層次化設(shè)計(jì)方法在數(shù)字電路設(shè)計(jì)中的應(yīng)用[J].武漢工業(yè)學(xué)院學(xué)報(bào),2004(12).
[3]朱麗霞.電子電路的分析與調(diào)試課程項(xiàng)目化教學(xué)的實(shí)踐7J].中國教育技術(shù)裝備,2010(33).
[4]虞金成.淺談應(yīng)用型電子電路漸近式的組合設(shè)計(jì)方法[J].福建教育學(xué)院學(xué)報(bào),2006(01).
[5]楊聚慶,劉嬌月.數(shù)字電路系統(tǒng)設(shè)計(jì)與制作的一般方法[J].洛陽工業(yè)高等專科學(xué)校學(xué)報(bào),2006(10).
>> 有機(jī)RFID標(biāo)簽在農(nóng)產(chǎn)品食品溯源中的應(yīng)用 一種有源RFID標(biāo)簽射頻前端收發(fā)電路設(shè)計(jì) 解讀有機(jī)標(biāo)簽 一種用于RFID標(biāo)簽帶溫度補(bǔ)償?shù)恼袷幤髟O(shè)計(jì) 超高頻RFID標(biāo)簽的數(shù)字電路設(shè)計(jì)與分析 有機(jī)RFID:可以印刷的電子標(biāo)簽 陶藝中有機(jī)和無機(jī)材料的運(yùn)用 危中有機(jī)的華語戲劇 水中有機(jī)污染物的測定 淺談高中有機(jī)合成路線的類型 科學(xué)教學(xué)中有機(jī)滲透德育的探索 危中有機(jī),企業(yè)的機(jī)遇與挑戰(zhàn) 土壤中有機(jī)氯農(nóng)藥的測定方法 “補(bǔ)償性體能素質(zhì)練習(xí)”在體育與健康水平準(zhǔn)備活動(dòng)中有機(jī)結(jié)合的嘗試性研究 用于定位的低功耗有源RFID標(biāo)簽的設(shè)計(jì) 基于nRF24LE1的有源RFID電子標(biāo)簽的設(shè)計(jì) 走勢分化 危中有機(jī) 投資歐洲危中有機(jī) 對有機(jī)發(fā)光二極管驅(qū)動(dòng)電路設(shè)計(jì)方案的分析 有機(jī)共生的設(shè)計(jì) 常見問題解答 當(dāng)前所在位置:l.
[14] FACEN A, BONI A. Power supply generation in CMOS passive UHF RFID tags [C]// Research in Microelectronics and Electronics. Otranto: IEEE, 2006: 33?36.
[15] KARTHAUS U, FISCHER M. Fully integrated passive UHF RFID transponder IC With16.7uW minimum RF input power [J]. IEEE Journal of Solid State Circuits, 2003, 38: 1602?1608.
關(guān)鍵詞 CMOS電路;噪聲問題;抗噪聲優(yōu)化設(shè)計(jì)
中圖分類號(hào) TN432 文獻(xiàn)標(biāo)識(shí)碼 A 文章編號(hào) 1673-9671-(2012)071-0183-01
1 CMOS電路及其噪聲
硅半導(dǎo)體的CMOS電路技術(shù)因?yàn)槠淙菀状笠?guī)模集成的特點(diǎn),及其自身的性價(jià)比優(yōu)勢和日漸成熟的技術(shù)和工藝,得到了廣泛的應(yīng)用,并且在今后相當(dāng)長的一段時(shí)間內(nèi)在規(guī)模集成電路中將會(huì)占據(jù)主導(dǎo)地位。隨著個(gè)人數(shù)字系統(tǒng)、通訊終端的不斷發(fā)展,CMOS不斷向著高密度、高速率的方向發(fā)展。但與此同時(shí),現(xiàn)代CMO系統(tǒng)內(nèi)部的器件尺寸不斷縮小,集成密度擴(kuò)大,各個(gè)金屬線之間的間隔縮短,因噪聲干擾或電路跳變過程中產(chǎn)生的毛刺都有可能使數(shù)字電路出現(xiàn)邏輯故障。因此要盡可能減少噪聲,提高系統(tǒng)穩(wěn)定性和準(zhǔn)確性。CMOS的噪聲影響到電路系統(tǒng)的穩(wěn)定性,近幾年來對抗噪聲的研究設(shè)計(jì)也層出不窮。筆者將在下文中對現(xiàn)代CMOS電路的抗噪聲優(yōu)化設(shè)計(jì)做出詳細(xì)的闡述。
2 現(xiàn)代CMOS電路的抗噪聲優(yōu)化設(shè)計(jì)
在本次設(shè)計(jì)研究中,筆者以動(dòng)態(tài)電路噪聲問題、同步開關(guān)噪聲問題以及襯底噪聲問題為主要研究對象,針對這幾種CMOS中常出現(xiàn)的噪聲問題展開分析。
2.1 深亞微米CMOS抗噪聲動(dòng)態(tài)電路設(shè)計(jì)
靜態(tài)電路本身具有相對較好的抗噪聲特性,但是其具有低速、高耗能的缺點(diǎn),因此在電路的關(guān)鍵部分,還需要?jiǎng)討B(tài)電路來提高線路的整體性能,尤其是提高速率和降低能耗。伴隨著深亞微米工藝水平的發(fā)展,器件的尺寸更進(jìn)一步減小,密度增大,這對動(dòng)態(tài)電路的抗噪聲性提出了更大的挑戰(zhàn)。
動(dòng)態(tài)電路中的噪聲源主要包括了電源噪聲、節(jié)點(diǎn)噪聲、串繞噪聲等。改善動(dòng)態(tài)電路的抗噪聲性能其中一個(gè)方法便是提高邏輯門的閥值電壓。但是提高閥值電壓就會(huì)降低電路的速度,提高功耗,削弱了動(dòng)態(tài)電路的優(yōu)勢,因此在優(yōu)化方案的設(shè)計(jì)中減少噪聲是目標(biāo),但是也不能讓電路的其他性能遭到過分損害。針對動(dòng)態(tài)電路,筆者認(rèn)為可以利用鏡像NMOS網(wǎng)絡(luò)來構(gòu)建具有高能量效率的抗噪聲電路。設(shè)計(jì)圖如圖1所示。
由圖可見,鏡像抗噪聲動(dòng)態(tài)線路需要兩個(gè)相同的NMOS求值網(wǎng)絡(luò),附加NMOS管M3,其工作原理大致為:預(yù)充電階段時(shí),時(shí)鐘信號(hào)φ將M1打開,將輸出電壓Vout充電達(dá)到最高水平,Vx的電壓達(dá)到VDD-Vm。另外由于晶體管體效應(yīng),頂端的NMOS網(wǎng)絡(luò)的開關(guān)閥值電壓相對應(yīng)增加,從而達(dá)到了改善動(dòng)態(tài)電路抗噪聲性能
的目的。
2.2 同步開關(guān)噪聲優(yōu)化設(shè)計(jì)
由于深亞微米電路規(guī)模的不斷增大,電路系統(tǒng)的中門電路翻轉(zhuǎn)頻率逐漸提高,再加上電源電壓的降低,低電平電壓的開關(guān)噪聲突顯粗來,影響了數(shù)字電路的穩(wěn)定性。同步開關(guān)噪聲主要由帶有大負(fù)載電容的I/O緩沖器開關(guān)和內(nèi)部電路的開關(guān)這兩種開關(guān)引起地“跳動(dòng)”。集成電路的高速高密度化發(fā)展使得與I/O輸出緩沖器相聯(lián)的電源和地上出現(xiàn)大量的噪聲。其次從內(nèi)部電路開關(guān)噪聲來看,要提高同步開關(guān)的抗噪聲性能,首先需要減小電感,主要辦法是通過特殊的地線PAD,將其與襯底直接相離并且連接到地平面上;其次是減小恒定電流,通過恒流電壓轉(zhuǎn)換器利用鏡像電流源提供恒定的電流。
噪聲控制的結(jié)構(gòu)方案主要有三種,一是采用局部倒相器數(shù)據(jù)總線結(jié)構(gòu),一般情況下,當(dāng)所有總線同時(shí)開關(guān)時(shí),理想情況下是一半是0一半是1,上拉下拉開關(guān)電流由旁路電容供給,從而使得較少的AC電流通過電源和地線上的電感,最終達(dá)到減小電壓跳動(dòng)的目的。二是采用時(shí)鐘偏移化方案,其規(guī)則大致與動(dòng)態(tài)電路相同,避免所有時(shí)鐘在同一時(shí)刻內(nèi)開關(guān),減小電壓跳動(dòng)。
2.3 襯底噪聲加固設(shè)計(jì)
伴隨著硅器件技術(shù)的飛速發(fā)展,電路的整體構(gòu)造和設(shè)計(jì)變得愈加復(fù)雜,在SOC中也已經(jīng)實(shí)現(xiàn)了混合技術(shù),并且將模擬數(shù)字集成在了統(tǒng)一襯底上。但隨著數(shù)字時(shí)鐘頻率的不斷上升,復(fù)雜性進(jìn)一步提高,電路系統(tǒng)中工藝器件和單元面積的縮小,集成電路設(shè)計(jì)中的襯底噪聲問題的解決成為了設(shè)計(jì)中的難點(diǎn)和重點(diǎn)。I/O緩沖器開關(guān)以及內(nèi)部羅繼電器的開關(guān)也是引起襯底噪聲的主要噪聲源,另外電離電流也是引起襯底噪聲的原因之一。襯底噪聲的優(yōu)化方法主要有四種:一是保護(hù)環(huán),保護(hù)環(huán)是指IC設(shè)計(jì)中防止襯底噪聲常用的方法,其工作原理是指在敏感器件周圍形成法拉第隔離,使得敏感器件受到保護(hù),減少襯底噪聲對其造成的干擾;二是N阱溝,主要是指可用于噪聲電路和敏感電路之間,阻止襯底電流的襯底表面流動(dòng);三是較小電源跳動(dòng);四是平面布局的方法,在空間電路布局時(shí)充分考慮減小襯底噪聲的耦合效應(yīng)。
綜上所述,隨著電路規(guī)模的逐漸擴(kuò)大,現(xiàn)代CMOS電路的抗噪聲優(yōu)化設(shè)計(jì)成為了當(dāng)前電路設(shè)計(jì)的重點(diǎn)和關(guān)鍵。本文主要針對動(dòng)態(tài)電路的抗噪聲性能以及同步開關(guān)噪聲優(yōu)化設(shè)計(jì)和襯底噪聲加固設(shè)計(jì)做了詳細(xì)闡述,相信隨著電路技術(shù)的飛速發(fā)展,CMOS的抗噪聲優(yōu)化設(shè)計(jì)會(huì)日漸完善。
參考文獻(xiàn)
[1]陳曦,莊奕琪,羅宏偉,胡凈,韓孝勇.深亞微米CMOS IC抗噪聲ESD保護(hù)電路的設(shè)計(jì)[J].微電子學(xué),2003,05.
現(xiàn)有的數(shù)字邏輯課程實(shí)踐教學(xué)環(huán)節(jié),一般僅包括驗(yàn)證性實(shí)驗(yàn)教學(xué);為了提升計(jì)算機(jī)專業(yè)學(xué)生的實(shí)際工程分析能力,引入了課程設(shè)計(jì)教學(xué)環(huán)節(jié)。通過設(shè)計(jì)小型數(shù)字系統(tǒng),加深了學(xué)生對計(jì)算機(jī)系統(tǒng)設(shè)計(jì)的認(rèn)識(shí),為后續(xù)課程的學(xué)習(xí)打下堅(jiān)實(shí)的基礎(chǔ)。
關(guān)鍵詞:
數(shù)字邏輯;課程設(shè)計(jì);實(shí)踐教學(xué)
一、概述
“數(shù)字邏輯”課程是計(jì)算機(jī)類專業(yè)開設(shè)的一門應(yīng)用數(shù)字電路進(jìn)行小型數(shù)字系統(tǒng)邏輯設(shè)計(jì)的專業(yè)基礎(chǔ)課程。其目的是使學(xué)生掌握組合電路和時(shí)序電路的工作原理、分析方法和設(shè)計(jì)方法,培養(yǎng)學(xué)生的計(jì)算機(jī)系統(tǒng)的思維能力[1]。當(dāng)前,大部分高校的數(shù)字邏輯課程的實(shí)踐教學(xué)只是在課堂教學(xué)之外引入了實(shí)驗(yàn)課程,并且使用的是“實(shí)驗(yàn)箱和邏輯門電路”的傳統(tǒng)實(shí)驗(yàn)方法,學(xué)生并不能夠理解實(shí)驗(yàn)的內(nèi)容和現(xiàn)實(shí)意義[2]。另外,數(shù)字邏輯課程屬于一門實(shí)踐性、應(yīng)用性很強(qiáng)的課程,不僅要重視基礎(chǔ)理論教學(xué),還應(yīng)該加強(qiáng)工程實(shí)踐能力的培養(yǎng)和訓(xùn)練。當(dāng)前,計(jì)算機(jī)類專業(yè)“數(shù)字邏輯”課程在實(shí)踐教學(xué)中存在的問題如下[3,4]:(1)課程概念較多且比較抽象,在實(shí)際教學(xué)中以理論教學(xué)為主,忽視了依托實(shí)際項(xiàng)目進(jìn)行講授的實(shí)踐教學(xué)方法。(2)實(shí)驗(yàn)教學(xué)只是簡單的機(jī)械式重復(fù),按照課本要求設(shè)計(jì)全加器、選擇器、譯碼器等簡單電路,學(xué)生不知器件的實(shí)際用途,也不會(huì)利用所學(xué)的知識(shí)組合設(shè)計(jì)應(yīng)用性電路系統(tǒng)。(3)傳統(tǒng)教學(xué)一般未考慮數(shù)字邏輯與其他課程的相關(guān)性,而計(jì)算機(jī)系統(tǒng)往往包含軟件和硬件設(shè)計(jì),學(xué)生無法掌握完整的系統(tǒng)設(shè)計(jì)方法。因此,現(xiàn)有的計(jì)算機(jī)類專業(yè)“數(shù)字邏輯”課程,多重視系統(tǒng)理論完整性,忽略了整體優(yōu)化,尤其是實(shí)踐教育環(huán)節(jié)有待優(yōu)化。“數(shù)字邏輯”課程建設(shè)大綱中,除要安排理論教學(xué)外,還需有大量的實(shí)踐環(huán)節(jié),它直接關(guān)系到后續(xù)的計(jì)算機(jī)專業(yè)核心課程,如《計(jì)算機(jī)組成原理》的學(xué)習(xí),因此,需要改革課程的實(shí)踐教學(xué)體系,為計(jì)算機(jī)專業(yè)學(xué)生計(jì)算機(jī)系統(tǒng)設(shè)計(jì)能力的培養(yǎng)打下基礎(chǔ)。本文對計(jì)算機(jī)專業(yè)的“數(shù)字邏輯課程設(shè)計(jì)”教學(xué)體系建設(shè)方案進(jìn)行探索,構(gòu)建系統(tǒng)設(shè)計(jì)方案,制定教學(xué)計(jì)劃和教學(xué)模式,并設(shè)計(jì)考核方式。
二、數(shù)字邏輯課程設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)設(shè)計(jì)
數(shù)字邏輯實(shí)驗(yàn)課教學(xué)中,一般是讓學(xué)生進(jìn)行驗(yàn)證性實(shí)驗(yàn),學(xué)生不需要進(jìn)行分析和討論即可完成。“數(shù)字邏輯課程設(shè)計(jì)”計(jì)劃設(shè)計(jì)為探索性實(shí)驗(yàn)教學(xué)環(huán)節(jié),要求所設(shè)計(jì)的小型數(shù)字系統(tǒng)具有實(shí)用、新穎、有趣等特點(diǎn),能夠激發(fā)起學(xué)生的研究興趣和熱情。課程設(shè)計(jì)與課程實(shí)驗(yàn)相比有本質(zhì)的區(qū)別,其目的不是為了獲得某一結(jié)果或證實(shí)某一結(jié)論,而是通過對實(shí)際項(xiàng)目的理解和分析,學(xué)習(xí)科學(xué)研究問題的方法,并彌補(bǔ)實(shí)驗(yàn)教學(xué)環(huán)節(jié)的不足之處。其意義在于,通過課程設(shè)計(jì)環(huán)節(jié)給予了學(xué)生自由研究和創(chuàng)新的機(jī)會(huì),通過對一個(gè)小型數(shù)字系統(tǒng)的設(shè)計(jì)與開發(fā),訓(xùn)練學(xué)生的數(shù)字電路設(shè)計(jì)、調(diào)試和創(chuàng)新的能力,培養(yǎng)學(xué)生運(yùn)用所學(xué)的理論知識(shí)、獨(dú)立地解決實(shí)際問題的能力,為今后從事相關(guān)領(lǐng)域的工程設(shè)計(jì)打好基礎(chǔ)。
(一)實(shí)驗(yàn)環(huán)境搭建隨著計(jì)算機(jī)處理能力的提升,EDA(電路的計(jì)算機(jī)輔助設(shè)計(jì)與分析)技術(shù)已成為電路系統(tǒng)分析和設(shè)計(jì)的有力工具,借助EDA軟件進(jìn)行數(shù)字邏輯課程設(shè)計(jì),突出了以學(xué)生為中心的開放模式,激發(fā)學(xué)生大膽想象并嘗試各種不同的設(shè)計(jì)方案、采用不同的集成元器件,對培養(yǎng)學(xué)生的創(chuàng)新意識(shí)有所幫助。Multisim軟件是在EWB的基礎(chǔ)上發(fā)展起來的專業(yè)仿真軟件,可以對數(shù)字電路進(jìn)行模擬仿真分析,已經(jīng)成為數(shù)字邏輯電路仿真實(shí)驗(yàn)的理想工具[5]。因此,數(shù)字邏輯課程設(shè)計(jì)計(jì)劃基于Multisim軟件平臺(tái)搭建實(shí)驗(yàn)仿真環(huán)境。
(二)課程設(shè)計(jì)內(nèi)容計(jì)劃設(shè)計(jì)3-4個(gè)實(shí)用的小型數(shù)字系統(tǒng)項(xiàng)目作為課程設(shè)計(jì)題目,在五周共計(jì)20學(xué)時(shí)的集中教學(xué)時(shí)間內(nèi),學(xué)生3-5人一組,選擇一個(gè)項(xiàng)目進(jìn)行設(shè)計(jì),課程結(jié)束時(shí),分組展示課程設(shè)計(jì)成果,并書寫課程設(shè)計(jì)報(bào)告。在課程實(shí)驗(yàn)教學(xué)環(huán)節(jié),學(xué)生已經(jīng)掌握了組合邏輯電路、時(shí)序邏輯電路以及中大規(guī)模電路設(shè)計(jì)的方法。因此,在課程設(shè)計(jì)教學(xué)環(huán)節(jié),計(jì)劃引入綜合性的小型數(shù)字系統(tǒng)的設(shè)計(jì)。而系統(tǒng)選題的設(shè)計(jì)是非常重要,要求綜合考慮各方面問題。首先,課題的難度要合適,既要保障大部分學(xué)生通過上學(xué)期的學(xué)習(xí)以后,能夠設(shè)計(jì)出給定的數(shù)字系統(tǒng);同時(shí),要求學(xué)生需要花費(fèi)一定的精力進(jìn)行思考和分析才能完成。其次,課題的內(nèi)容要與實(shí)際相結(jié)合。與學(xué)生生活相關(guān)的數(shù)字系統(tǒng),能夠吸引學(xué)生的興趣,并激發(fā)學(xué)生研究的熱情。部分課程設(shè)計(jì)題目如下:設(shè)計(jì)1:設(shè)計(jì)并制作一個(gè)帶有校時(shí)功能、可定時(shí)起鬧的數(shù)字電子鐘。(1)計(jì)時(shí)以24小時(shí)為一個(gè)周期;(2)“時(shí)”“、分”采用十進(jìn)制數(shù)字顯示;(3)“秒”信號(hào)采用小數(shù)點(diǎn)顯示,具體為驅(qū)動(dòng)發(fā)光二極管;(4)要求具有校準(zhǔn)時(shí)間功能,可調(diào)整為標(biāo)準(zhǔn)時(shí)間或指定時(shí)間;(5)要求具有鬧鈴功能,可以設(shè)定鬧鈴時(shí)間,并按時(shí)發(fā)出聲音,且發(fā)出的聲音長短可調(diào);設(shè)計(jì)2:設(shè)計(jì)一個(gè)運(yùn)算器系統(tǒng)。(1)要求能夠進(jìn)行8位數(shù)字的加、減、乘、除四則運(yùn)算;(2)要求能夠顯示操作數(shù)以及運(yùn)算結(jié)果;(3)要求源操作數(shù)和目的操作數(shù)能夠在四個(gè)不同的寄存器間任意選擇;(4)要求能夠提供“溢出”報(bào)警信號(hào)。設(shè)計(jì)3:設(shè)計(jì)一個(gè)汽車尾燈控制電路。(1)汽車左右各具有三個(gè)尾燈,共計(jì)六個(gè)。設(shè)計(jì)兩個(gè)控制開關(guān),具有四種組合,分別代表汽車的前進(jìn)、左轉(zhuǎn)、右轉(zhuǎn)和停車四個(gè)狀態(tài);(2)系統(tǒng)運(yùn)行如下:汽車正常前進(jìn)時(shí),六個(gè)燈全亮;汽車右轉(zhuǎn)時(shí),右邊的三個(gè)燈自左向右順序循環(huán)點(diǎn)亮;汽車左轉(zhuǎn)時(shí),左邊的三個(gè)尾燈自右向左順序循環(huán)點(diǎn)亮;汽車停車時(shí)六個(gè)燈全部隨CP時(shí)鐘閃爍;(3)添加譯碼器和七段顯示數(shù)碼管,用于顯示汽車前進(jìn)、左轉(zhuǎn)、右轉(zhuǎn)和停車,對應(yīng)七段數(shù)碼管的顯示分別為:D、L、R、P;(4)最后,添加蜂鳴器,用于在轉(zhuǎn)向時(shí),發(fā)出示警聲音。
(三)教學(xué)實(shí)施方式數(shù)字邏輯課程設(shè)計(jì)計(jì)劃安排在本科二年級下半年的前五周,每周半天4節(jié)課,共計(jì)20個(gè)課時(shí)。學(xué)生可以在給定的課程設(shè)計(jì)系統(tǒng)中選擇一個(gè)系統(tǒng)進(jìn)行設(shè)計(jì)。計(jì)劃分組進(jìn)行,每組2-3人,設(shè)組長一名,負(fù)責(zé)任務(wù)的分解和聯(lián)絡(luò),采用集體合作,單獨(dú)計(jì)算成績的考評方式。學(xué)生的課程設(shè)計(jì)實(shí)施步驟如下:(1)制定出設(shè)計(jì)方案;(2)選定合適的器件,畫出邏輯圖;(3)畫出集成電路芯片布局布線圖;(4)利用仿真軟件,進(jìn)行調(diào)試;(5)寫出設(shè)計(jì)報(bào)告并提出改進(jìn)意見。具體實(shí)施方式為:第一次課程結(jié)束后,課程組長組織組員選定題目,討論課程設(shè)計(jì)的具體分工和實(shí)施計(jì)劃,并遞交計(jì)劃文檔。中間的三周為具體實(shí)施階段,指導(dǎo)老師每次課程中需要檢查各小組的實(shí)施進(jìn)展,了解遇到的問題,并討論解決方案;最后一周學(xué)生需要書寫課程設(shè)計(jì)報(bào)告,并設(shè)計(jì)課程PPT,展示所設(shè)計(jì)的成果,向全班學(xué)生講解其系統(tǒng)的特點(diǎn)和優(yōu)勢。
(四)課程考核方法課程的考核成績?yōu)槿齻€(gè)部分,其中:課程設(shè)計(jì)報(bào)告占50%,課程匯報(bào)占20%,平時(shí)表現(xiàn)占30%。上述三項(xiàng)一項(xiàng)不合格則整體成績不合格,設(shè)計(jì)報(bào)告雷同者成績不合格。課程設(shè)計(jì)報(bào)告內(nèi)容包括:(1)問題描述與分析(設(shè)計(jì)任務(wù));(2)背景知識(shí);(3)設(shè)計(jì)思路和內(nèi)容;(4)對關(guān)鍵電路進(jìn)行分析;(5)測試以及結(jié)果分析;(6)總結(jié)。所選設(shè)計(jì)難度不同,完善程度不同等均影響到最終成績判定。設(shè)計(jì)內(nèi)容上只提出基本設(shè)計(jì)要求,只達(dá)到基本要求者,僅能獲得基本分,學(xué)生需要發(fā)揮想象力,擴(kuò)充電路功能才能評優(yōu)。課程匯報(bào)的評價(jià)方式為:現(xiàn)場學(xué)生對每組的匯報(bào)進(jìn)行打分,教師根據(jù)學(xué)生的評價(jià)計(jì)算加權(quán)分值。平時(shí)表現(xiàn)通過考勤以及對本次課程設(shè)計(jì)的貢獻(xiàn)大小進(jìn)行考核,用于區(qū)分組內(nèi)成員之間的分值,具體由指導(dǎo)老師進(jìn)行評價(jià)。
三、結(jié)束語
本文分析了當(dāng)前計(jì)算機(jī)類本科專業(yè)數(shù)字邏輯課程實(shí)踐教學(xué)中的不足,并引入了數(shù)字邏輯課程設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)。講解了實(shí)驗(yàn)環(huán)境的搭建方法,設(shè)計(jì)了具體的課程設(shè)計(jì)案例,分析了教學(xué)實(shí)施方式,并給出了課程的考核方法。通過引入小型數(shù)字系統(tǒng)設(shè)計(jì)環(huán)節(jié),完善了數(shù)字邏輯課程的實(shí)踐教學(xué)體系,提高了學(xué)生對數(shù)字邏輯課程的興趣,培養(yǎng)了學(xué)生的團(tuán)隊(duì)合作意識(shí),提升了學(xué)生實(shí)踐創(chuàng)新能力以及工程項(xiàng)目思維和素養(yǎng)。
參考文獻(xiàn)
[1]盛建倫,劉淑霞,王勇,等.數(shù)字邏輯實(shí)驗(yàn)技術(shù)改革的研究[J].實(shí)驗(yàn)技術(shù)與管理,2015,32(4):216-219.
[2]詹瑾瑜,廖建明.數(shù)字邏輯課程教學(xué)方法研究與探討[J].計(jì)算機(jī)教育,2011(2):91-94.
[3]肖娟,張雯.轉(zhuǎn)型發(fā)展中數(shù)字邏輯課程教學(xué)改革研究[J].現(xiàn)代計(jì)算機(jī),2015(9):17-19.
[4]唐志強(qiáng).計(jì)算機(jī)專業(yè)數(shù)字邏輯實(shí)驗(yàn)的改革與創(chuàng)新[J].實(shí)驗(yàn)室研究與探索,2013,32(10):182-184.